公司IT部门把verdi软件做了升级,但是在编译的过程中出现下面的问题:
“Novas” ERROR:The #2 argument is invalid
“Novas” ERROR:Syntax - $fsdbDumpvars([depth,][instance][,option]);
testbench中调用函数如下
$fsdbDumpfile(“test.fsdb”);
$fsdbDumpfile(0,testbench);
用之前的版本没有遇到过这样的问题,愁了一下午,不知道该怎么解决?
解决方法
首先生产vcd文件,然后通过命令转成fsdb文件.
$dumpfile(“test.vcd”);
$dumpvars(0,tb_test);
vcd2fsdb your_file
这种方法就是先生成vcd文件,vcd文件比较大,而全verdi不识别,所示需要转化成fsdb文件格式,fsdb文件格式是压缩后的波形文件,占用空间比较小
2、vcs 需要添加 -debug_pp选项
vcs +v2k –sverilog –debug_pp
-R
-P /tool/Verdi/share/PLI/VCS/LINUX/novas.tab /tool/Verdi/share/PLI/VCS/LINUX/pli.a
-f run.f
-l test.log