步进电机定位控制系统VHDL程序与仿真 步进电机定位控制系统VHDL程序与仿真library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;use IEEE.std_logic_unsigned.all;entity step_motor isport (reset:in STD_LOGIC; --系统复位信号 dir: in STD_LOGIC; --方向... 2023-06-13 步进电机定位控制系统VHDL程序仿真文章技术应用工业控制
双向数据转换器的VHDL程序设计 摘要:VHDL(超高速集成电路硬件描述语言)目前在电子设计领域得到了广泛应用。本文介绍了使用VHDL语言实现CPLD设计的方法,并以此方法在ALTERA公司的CPLD器件 EPM7128SQC100-10上实现8位到32位的双向数据转换器芯片。关键词:VHDL, CPLD, 数据转换器, 设计引言 随着CPLD(Comple... 2023-06-13 双向数据转换器VHDL程序文章硬件设计EDA软件
4位除法器vhdl程序 4位除法器,vhdl------------------------------------------------------------------------------------/-- DESCRIPTION : Signed pider-- A (A) input width : 4-- B (B) input width : 4-- Q (data_out) output widt... 2023-06-13 4位除法器VHDL程序文章硬件设计EDA软件
4位乘法器vhdl程序 4位乘法器,vhdl----------------------------------------------------------------------------------/-- DESCRIPTION : Signed mulitplier:-- A (A) input width : 4-- B (B) input width : 4-- Q (data_out) output... 2023-06-13 4位乘法器VHDL程序文章硬件设计EDA软件
8路数字抢答器的VHDL程序设计 一、 设计任务与要求1. 抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S0 ~ S7表示。2. 设置一个系统清除和抢答控制开关S,该开关由主持人控制。3. 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实... 2023-06-13 8路数字抢答器VHDL程序课程设计文章课设毕设控制类
CPLD被STM32读写VHDL程序 --本程序用来测试STM32对CPLD的读写操作--测试功能如下:--向0x05地址写入0x01,LED灯停止闪烁,写入其他数据闪烁继续--0x03,0x04寄存器为定值,可以通过STM32读取然后使用串口输出看看是否一致--文件名:AD.vhdlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_uns... 2023-06-13 CPLDSTM32读写VHDL程序文章单片机
利用FPGA驱动LCD显示中文字符“年”的VHDL程序 --文件名:lcd_driver.vhd。--功能:FGAD驱动LCD显示中文字符“年”。--最后修改日期:2004.3.24。library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity lcd_driver is P... 2023-06-13 FPGA驱动LCD“年”VHDL程序文章单片机