proteus 常用快捷键 F8:全部显示 当前工作区全部显示F6:放大 以鼠标为中心放大F7:缩小 以鼠标为中心缩小G:栅格开关 栅格网格Ctrl+F1:栅格宽度0.1mm 显示栅格为0.1mm,在pcb的时候很有用F2:栅格宽度0.5mm 显示栅格为0.5mm,在pcb的时候很有用F3:栅格宽度1mm 显示栅格为1mm,在pcb的时候很有用F4:栅格宽度2.... 2023-06-13 Proteus快捷键文章硬件设计EDA软件
Proteus 仿真库介绍 元件名称 中文名 说明7407 驱动门 1N914 二极管 74Ls00 与非门 74LS04 非门 74LS08 与门 74LS390 TTL 双十进制计数器 7SEG 4针BCD-LED 输出从0-9 对应于4根线的BCD码7SEG 3-8译码器电路BCD-7SEG转换电路 ALTERNATOR 交流发电机 AMMETER-MILLI mA安培计 AND 与门 BATTERY... 2023-06-13 Proteus仿真库文章硬件设计EDA软件
在Proteus 6.9中调试I2C设备的方法 刚开始调试TWI总线与AT24C02连接时,因为我是第1次以硬件的方式实现I2C,因此走了几天的弯路。今天完全调试通了。写些调试经验,省得以后有人继续走弯路。可以这么说,利用Proteus调试I2C还是很方便的,比如在图纸上连接好MEGA8和AT24C02后,如果要看I2C调试情况,可以用两种方法:第1种... 2023-06-13 Proteus6.9I2C文章硬件设计EDA软件
基于proteus的51单片机仿真实例说明(1) 一、单片机系统的开发流程1、搭建硬件电路;2、编写控制程序;3、将程序“装”到单片机里面;4、运行单片机系统,并检查、调试运行结果。二、学习单片机的基本条件以一个计算机系统为例,我们想要使用计算机,首先必须配置计算机的各种组件(CPU、主板、内存、硬盘、声卡、... 2023-06-13 Proteus51单片机keilC51uv3.0文章硬件设计EDA软件
Cadence产品的介绍 Cadence公司是一家eda软件公司。成立于1988年。其主要产品线从上层的系统级设计到逻辑综合到低层的布局布线,还包括封装、电路版pcb设计等等多个方向。下面主要介绍其产品线的范围。1、板级电路设计系统。 包括原理图输入、生成、模拟数字/混合电路仿真,fpga设计,pcb编辑和... 2023-06-13 Cadence时序驱动LDV文章硬件设计EDA软件
用Verilog语言描述一个双向端口 例子:CODE:module dual_port (....inout_pin,....);inout inout_pin;wire inout_pin;wire input_of_inout;wire output_of_inout;wire out_en;assign input_of_inout = inout_pin;assign inout_pin = out_en ? output_o... 2023-06-13 verilog语言双向端口ModelSim文章硬件设计EDA软件
dxp sp2的使用技巧 一、 Protel DXP中的基本PCB库:原理图元件库的扩展名是.SchLib,PCB板封装库的扩展名.PcbLib,它们是在软件安装路径的“\Library\...”目录下面的一些封装库中。根据元件的不同封装我们将其封装分为二大类:一类是分立元件的封装,一类是集成电路元件的封装1、分立元... 2023-06-13 Protel DXPPCB库 dxp sp2文章硬件设计EDA软件
VxWorks应用技巧两例 Wind ML是VxWorks上的一个二维图形用户界面,当多个任务都需要作画图工作时,可能出现当一个任务在正在作图时,被另一个作图任务打断,从而出现意想不到得情况。本文将介绍在VxWorks操作系统中,编写应用程序时的两个技巧。一个是内存变量保护;另一个是多任务间的画图保护。1 全局... 2023-06-13 VxWorks程序设计WindML文章硬件设计EDA软件
Protel使用小技巧 Protel是电路设计和制造领域应用最为广泛的EDA软件。在规范化的设计管理中,设计文件图样必须遵守相应的国家标准,如《电子产品图样绘制规则》、《设计文件管理制图》和《印制板制图》等,由于Protel软件是国外软件,无法直接打印出符合国家标准的图纸,要将图纸规范化常用的方式... 2023-06-13 PROTEL印制板图套打文章硬件设计EDA软件
基于信号完整性分析的高速数字PCB的设计方法 随着集成电路输出开关速度提高以及PCB板密度增加,信号完整性已经成为高速数字PCB设计必须关心的问题之一。元器件和PCB板的参数、元器件在PCB板上的布局、高速信号的布线等因素,都会引起信号完整性问题,导致系统工作不稳定,甚至完全不工作。如何在PCB板的设计过程中充分考虑... 2023-06-13 PCB设计信号完整性分析EDA软件文章硬件设计
Verilog模块概念和实例化 模块的概念模块(module)是verilog最基本的概念,是v设计中的基本单元,每个v设计的系统中都由若干module组成。1、模块在语言形式上是以关键词module开始,以关键词endmodule结束的一段程序。2、模块的实际意义是代表硬件电路上的逻辑实体。3、每个模块都实现特定的功能。4、模块... 2023-06-13 verilog模块概念实例化文章硬件设计EDA软件
verilog的代码规范和coding风格 想要成为一名优秀的数字IC设计工程师需要哪些基本的专业知识呢?如下:1.半导体物理学、半导体器件物理学、基本的固体物理、半导体工艺与制造等物理学知识;2.电路分析、模拟电子线路、COMS模拟集成电路、专用集成电路基础等模拟IC知识;3.信号系统、数字信号处理、信道编码、通... 2023-06-13 verilog代码规范coding风格文章硬件设计EDA软件
Verilog HDL的基本语法 I/O声明输入声明input[msb:lsb]端口1,端口2,端口3,……输出声明output[msb:lsb]端口1,端口2,端口3,……输入输出声明inout[msb:lsb]端口1,端口2,端口3,……信号类型声明常用的信号类型有连线性(wire)、寄存器型(reg)、整形(integer)、实型(rea... 2023-06-13 verilogHDL基本语法文章硬件设计EDA软件
国内外EAD软件浅视个人看法 随着计算机在国内的逐渐普及,EDA软件在电子行业的应用也越来越广泛,但和发达国家相比,我国的电子设计水平仍然存在着相当大的差距,而中国已走到了WTO的门口,随着加入WTO,电子行业将会受到较大的冲击,许多从事电子设计工作的人员对EDA软件并不熟悉,笔者因此作此文以让这些同业者对... 2023-06-13 硬件设计EDA软件开发文章EDA软件
EDA技术的概念及范畴 利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程在计算机上自动处理完成。EDA常用软件EDA工具层出不穷,目前进入我国并具有广泛影响的EDA软件有:EWB、PS... 2023-06-13 硬件设计EDA软件开发介绍文章EDA软件
硬件描述语言Verilog设计经验总结 一、硬件描述语言Verilog当然,硬件和软件的一个重要区别是它们的“运行”方式。硬件设计中用到的许多单元都是并行工作的。一旦设备电源开启,硬件的每个单元就会一直处于运行状态。虽然根据具体的控制逻辑和数据输入,设备的一些单元可能不会改变它们的输出信号,但... 2023-06-13 硬件语言verilog设计经验文章硬件设计EDA软件
EDA仿真功能及应用介绍 1、 仿真的目的:在软件环境下,验证电路的行为和设想中的是否一致。2、 仿真的分类:a) 功能仿真:在RTL层进行的仿真,其特点是不考虑构成电路的逻辑和门的时间延迟,着重考虑电路在理想环境下的行为和设计构想的一致性;b) 时序仿真:又称为后仿真,是在电路已经映射到特定的工艺环境后,... 2023-06-13 EDA仿真文章硬件设计EDA软件
硬件开发的基本注意事项 1 充分了解各方的设计需求,确定合适的解决方案启动一个硬件开发项目,原始的推动力会来自于很多方面,比如市场的需要,基于整个系统架构的需要,应用软件部门的功能实现需要,提高系统某方面能力的需要等等,所以作为一个硬件系统的设计者,要主动的去了解各个方面的需求,并且综合起来,提... 2023-06-13 硬件开发解决方案文章硬件设计EDA软件
Proteus与protel的区别 Multisim有超强板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。高版本可以进行单片机等MCU的仿真。Multisim有实际元器件和虚拟元器件,它们之间根本差别在于:一种是与实际元器件的型号、参数值以及封装... 2023-06-13 ProteusPROTEL区别文章硬件设计EDA软件
基于VHDL的串口通信程序 串口的波特律由程序中定义的p_par参数决定,更改该参数可以实现相应的波特率。程序当前设定的p_par 的值是0x104,对应的波特率是9600。用一个8倍波特率的时钟将发送或接受每一位bit的周期时间,划分为8个时隙以使通信同步.程序的工作过程是:串口处于全双工工作状态,按动key2,CPLD... 2023-06-13 VHDL串口通信程序CPLD文章硬件设计EDA软件
分频器的verilog HDL描述 偶数倍分频: 偶数倍分频应该是大家都比较熟悉的分频,通过计数器计数是完全可以实现的。如进行N倍偶数分频,那么可以通过由待分频的时钟触发计数器计数,当计数器从0 计数到N/2-1时,输出时钟进行翻转,并给计数器一个复位信号,使得下一个时钟从零开始计数。以此循环下去。这种方法... 2023-06-13 分频器verilogHDL文章硬件设计EDA软件
Verilog代码可移植性设计 1. 参数定义localparam,实例代码如下:module tm1(clk,rst_n,pout);input clk;input rst_n;output[M:0] pout;localparam N = 4;localparam M = N-1;reg[M:0] cnt;always @(posedge clk or negedge rst_n)if(!rst_n) cnt <... 2023-06-13 verilog代码可移植性文章硬件设计EDA软件
Verilog HDL高级语法结构―函数(function) 函数的目的是返回一个用于表达式的值。1.函数定义语法function返回值的类型或范围> (函数名);端口说明语句>变量类型说明语句> begin语句>...endendfunction请注意返回值的类型或范围>这一项是可选项,如缺省则返回值为一位寄存器类型数据。下面用例子说明:function[7:0] getby... 2023-06-13 functionverilogHDL文章硬件设计EDA软件
Verilog HDL高级语法结构―任务(TASK) 如果传给任务的变量值和任务完成后接收结果的变量已定义,就可以用一条语句启动任务。任务完成以后控制就传回启动过程。如任务内部有定时控制,则启动的时间可以与控制返回的时间不同。任务可以启动其他的任务,其他任务又可以启动别的任务,可以启动的任务数是没有限制的。不管... 2023-06-13 verilogTASKHDL文章硬件设计EDA软件
Verilog HDL基础教程之:时序逻辑电路 在VerilogHDL语言中,时序逻辑电路使用always语句块来实现。例如,实现一个带有异步复位信号的D触发器如下。例1:带异步复位的D触发器1。wire Din;wire clock,rst;reg Dout;always @ (posedge clock or negedge rst) //带有异步复位if(rst == 1’b0) Dout = 1’b0;... 2023-06-13 verilogHDL基础教程时序逻辑电路文章硬件设计EDA软件